ABCDEFGHIJKLMNOPQRSTUVWXYZAAABACADAEAFAGAHAIAJAKALAMANAOAPAQARASATAUAVAWAXAYAZBABBBCBDBEBFBGBHBIBJBKBLBMBNBOBPBQBRBSBTBUBVBWBXBYBZCACBCCCDCECFCGCHCICJCKCLCMCNCOCPCQCRCSCTCUCV
1
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
2
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
3
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
4
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
5
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
6
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
7
0000000000033333000000000000000000000000000000000000000000000000000000000000000000000000000000000000
8
0000000000333333333000000000000000000000000000000000000000000000000000000000000000000000000000000000
9
0000000000222112100000000000000000000000000000000000000000000000000000000000000000000000000000000000
10
0000000002121112111000000000000000000000000000000000000000000000000000000000000000000000000000000000
11
0000000002122111211100000000000000000000000000000000000000000000000000000000000000000000000000000000
12
0000000000211112222000000000000000000000000000000000000000000000000000000000000000000000000000000000
13
0000000000011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000
14
0000000000332332330000000000000000000000000000000000000000000000000000000000000000000000000000000000
15
0000000003332332333000000000000000000000000000000000000000000000000000000000000000000000000000000000
16
0000000033332222333300000000000000000000000000000000000000000000000000000000000000000000000000000000
17
0000000011321221231100000000000000000000000000000000000000000000000000000000000000000000000000000000
18
0000000011122222211100000000000000000000000000000000000000000000000000000000000000000000000000000000
19
0000000011222222221100000000000000000000000000000000000000000000000000000000000000000000000000000000
20
0000000000222002220000000000000000000000000000000000000000000000000000000000000000000000000000000000
21
0000000002220000222000000000000000000000000000000000000000000000000000000000000000000000000000000000
22
0000000022220000222200000000000000000000000000000000000000000000000000000000000000000000000000000000
23
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
24
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
25
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
26
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
27
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
28
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
29
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
30
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
31
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
32
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
33
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
34
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
35
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
36
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
37
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
38
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
39
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000222222222222
40
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000222222222222
41
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000223332222233
42
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000223222222232
43
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000223222333232
44
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000223222323232
45
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000223332323233
46
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000222222323222
47
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000222222333222
48
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000222222222222
49
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000222222222222
50
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
51
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
52
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
53
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
54
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
55
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
56
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
57
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
58
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
59
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
60
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
61
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
62
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
63
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
64
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
65
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
66
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
67
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
68
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
69
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
70
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
71
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
72
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
73
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
74
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
75
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
76
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
77
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
78
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
79
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
80
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
81
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
82
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
83
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
84
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
85
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
86
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
87
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
88
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
89
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
90
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
91
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
92
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
93
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
94
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
95
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
96
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
97
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
98
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
99
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
100
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000